Overview

Calibre nmDRC

Total cycle time is rising due to larger and more complex designs, higher error counts, and more verification iterations. The Calibre nmDRC platform responds to the need for reduced cycle time with innovative capabilities that differentiate the Calibre nmDRC technology from traditional DRC tools.


Get in touch with our technical team: 1-800-547-3000

Close up look at an IC Chip that our IC Design, Verification & Manufacturing tools helped to create.
Key Features

Industry-Leading Sign-Off Design Rule Checking

The Calibre nmDRC platform has been adopted as the internal sign-off DRC solution for all major foundries for over 25 years, due to its continuous innovation in functionality to meet the most complex rule needs, as well as its industry-leading performance and capacity.

Accuracy and Innovation

Preferred Foundry Sign-Off Tool

The Calibre nmDRC technology is used internally by all major foundries for process definition, resulting in rule files and rule decks that define the process requirements and set the benchmark for other companies’ DRC tool qualifications.

Man shaking hands with electronic hand | The Calibre nmDRC technology is used internally by all major foundries for process definition, resulting in rule files and rule decks that define the process requirements and set the benchmark for other companies’ DRC tool qualifications.
Most Advanced Syntaxes

Constant Innovation

With innovative features like equation-based DRC and other advanced syntaxes, the Calibre nmDRC solution enables the implementation of complex new check requirements without requiring underlying engine modification.

Outline of human head with multiple objects inside | Calibre Design solutions
Fastest runtimes

Industry leading performance and capacity

The Calibre nmDRC hierarchical processing engine continues to set the industry benchmark for performance, scaling, and capacity. Extended with industry-leading scaling technology, the Calibre nmDRC platform enables the fastest runtimes with low memory and core counts.

Cityscape with rising sun showing streams of digital code as light rays

Calibre nmDRC Featured Resources

Explore our featured resources or visit the full Calibre nmDRC resource library to view on-demand webinars, white papers, and fact sheets.

Ready to learn more about Calibre?

We're standing by to answer your questions! Get in touch with our team today:

Call: 1-800-547-3000

Send an email

Calibre Consulting Services

We help you adopt, deploy, customize and optimize your complex design environments. Direct access to engineering and product development lets us tap into deep domain and subject matter expertise.

Support Center

The Siemens Support Center provides you with everything in one easy-to-use location -
knowledgebase, product updates, documentation, support cases, license/order information and more.

Design with Calibre blog

Across all process nodes and design styles, the Calibre toolsuite delivers accurate, efficient, comprehensive IC verification and optimization, while minimizing resource usage and tapeout schedules.